DyPS: Dynamic Processor Switching for Energy-Aware Video Decoding on Multi-core SoCs Yahia Benmoussa

Jalil Boukhobza

Eric Senn

Univ. M’hamed Bougara, LMSS, Boumerdes, Algeria Univ. Bretagne Occidentale, UMR6285, Lab-STICC, F29200 Brest, France

Univ. Bretagne Occidentale, UMR6285, Lab-STICC, F29200 Brest, France

Univ. Bretagne Sud, UMR6285, Lab-STICC, F56100 Lorient, France

[email protected]

[email protected] Yassine Hadjadj-Aoul

Djamel Benazzouz

IRISA, Université de Rennes1

Univ. M’hamed Bougara, LMSS, Boumerdes, Algeria

[email protected]

[email protected]

ABSTRACT In addition to General Purpose Processors (GPP), Multicore SoCs equipping modern mobile devices contain specialized Digital Signal Processor designed with the aim to provide better performance and low energy consumption properties. However, the experimental measurements we have achieved revealed that system overhead, in case of DSP video decoding, causes drastic performances drop and energy efficiency as compared to the GPP decoding. This paper describes DyPS, a new approach for energy-aware processor switching (GPP or DSP) according to the video quality. We show the pertinence of our solution in the context of adaptive video decoding and describe an implementation on an embedded Linux operating system with the help of the GStreamer framework. A simple case study showed that DyPS achieves 30% energy saving while sustaining the decoding performance.

Categories and Subject Descriptors H.5.1 [Information Interfaces and Presentation]: Multimedia Information Systems; D.4.8 [Operating Systems]: Performance; C.3 [Special Purpose and Application Based Systems]: Real-time and embedded systems

Keywords Adaptive Video Decoding, Energy, ARM, DSP, GStreamer, Embedded Linux.

1.

INTRODUCTION

EWiLi’13, August 26-27, 2013, Toulouse, FRANCE. Copyright retained by the authors.

SIGBED Review

[email protected]

56

Nowadays, mobile devices such as smart-phones and tablets include more and more powerful hardware. For example, a hardware configuration including a processor clocked at more than 1 GHz frequency becomes common. However the use of high frequencies requires higher voltage levels and leads to an increase in energy consumption due to the quadratic relation between the dynamic power and the supplied voltage in CMOS circuits [6]. In a context where Lithium battery technologies are not evolving fast enough, the autonomy duration of those devices becomes a very critical issue [4] especially when using processor intensive applications such as video playback. In [7], it is shown that video playback is the most important energy intensive mobile application. This is due to the important use of the processing resources responsible of more than 60% of the consumed energy. To overcome this issue, Digital Signal Processors (DSP) are a solution used to provide better performance-energy properties. Indeed, the use of parallelism in data processing increases the performance without requiring higher voltages and frequencies [16]. This makes them an energy-efficient choice in energy constrained devices [23] such as smartphones and tablets where they are integrated in multi-core SoCs in addition to GPP [22]. When decoding a video stream, the use of the full processing capabilities of the hardware is not always necessary. For example, due to bandwidth limitation, the video may be coded in a low quality which leads to less decoding processing requirements [10]. In this case, in order to save energy, one might use dynamic voltage and frequency scaling feature provided by some low-power processors. This mechanism is used to scale down the voltage and the frequency in case of low processing workloads [17]. In addition to the above-stated energy considerations, the operation system overhead is an important parameter to consider especially in case of DSP video coding. In fact, the inter-processor communication generates a system overhead resulting from cache memory coherency maintenance, parameters passing, and I/O latency. This overhead is not negligible in case of decoding a low quality video requiring

Vol. 11, Num. 1, February 2014

2.

(a) DSP frame decoding (4cif) power consumption

Power (W)

less processing power. In such case, as confirmed by experimental performance and energy consumption measurements [5], it was shown that a GPP video decoding can be the best choice in many cases as compared to the DSP decoding. Accordingly, we propose in this paper an implementation of an energy-aware dynamic processing resources selection technique. This new approach allows a transparent processor switching (DSP/GPP) on a multi-core SoCs including a GPP and a DSP in a context of adaptive decoding of different video qualities. The remainder of this paper is organized as follows : In section 2, the problem statement and context are given. In sections 3, the proposed solution is described. The implementation details, experimental evaluation and results are discussed in section 4 and 5 respectively. Related works on energy consideration of video decoding on Multi-core SoCs are discussed in section 6. Finally, conclusions and some future work perspectives are given in Section 7.

Overhead

DSP idle/ ARM active

0,6 0,4

codec

2 Codec return status

GPP

4

5

cache

1

decoded Frame DSP

0

10

20

30

40 50 Time (ms)

60

70

80

Power (W)

(b) DSP frame decoding (qcif) power consumption

90

100

Memory

Frame processing periode

DSP + ARM

DSP idle/ARM idle DSP active/ARM idle

Overhead

1,2 1

DSP Decoding

Decoded frame transfer using DMA

DSP idle/ARM active

0,8 0,6 Memory power increase due to frame copy.

0,4 0,2 2

4

6

Time (ms)

8

10

12

14

Figure 2: ARM and DSP frame decoding

system latency caused by entering the idle state and handling of hardware interrupt. In addition, the GPP/DSP data transfers are generally achieved using Direct Memory Access (DMA) which offloads the processor from memory data transfers tasks but induces additional I/O and interrupt latency. A frame based DSP decoding analysis showed that the system overhead cannot be neglected in case of low video quality DSP decoding [5]. For example, Figure 2 shows the measured power consumption during the execution of the above steps on an OMAP3530 SoC containing a Cortex A8 ARM processor and a TMS320C64X DSP. Two video qualities are used : 4cif (704x576) and qcif (176x144) resolution with 4 Mb/s and 256 Kb/s bit-rate respectively. The ARM processor and the DSP are clocked at 720 MHz and 520 MHz frequency respectively. In Figure 2, the DSP frame decoding phase is represented by the strip varying between 0.7 W and 1.1 W corresponding to [32 ms, 62ms] and [6.2 ms, 7.5ms] intervals (4cif and qcif respectively). This phase is terminated by a burst of DMA transfers of the decoded frame macro-blocks from the DSP cache to the shared memory. This phase corresponds to the intervals [56 ms, 62 ms] and [7.2 ms, 7.5 ms] and is illustrated by an increase in memory power consumption. When the DSP terminates the frame decoding, it returns to the GPP (ARM Cortex A8) the execution status and enters the idle state. This event occurs, for example in Fig. 2-a (4cif ) at 25 ms. The ARM wakeup latency is represented by the power level 0.66 W. The ARM wakeup event is represented by the power transition from 0.64 W to 0.85 W level. A deeper performance and energy measurement showed that the processing which is not related to frame decoding (system overhead) represents 50% of the total processing time and 30% of the consumed energy in case of qcif resolution. This is not negligible and may have an impact on the overall performance and energy properties of video decoding we discuss hereafter.

output buffer

cache

3

Shared Memory

Figure 1: DSP video decoding 1. The GPP writes-back the frame located in its cache (as the frame may be located in the cache) to a shared memory so that the DSP can access it. 2. The GPP sends the parameters to the DSP codec via a GPP/DSP hardware bus. 3. The DSP invalidates the entries in its cache corresponding to a frame buffer in the shared memory. 4. The DSP decodes and transfers the frame to the output buffer. 5. The DSP sends the return status to the GPP. In fact, both DSP and GPP have their proper cache memory and communicate using a shared memory. This imposes to manage cache coherency each time the DSP shares a data with the GPP. In addition, from the operating system level, The GPP/DSP communication is managed by a driver. A frame (a compressed picture) decoding is considered, from the GPP point of view, as an I/O operation generating a

SIGBED Review

Memory power increase due to frame copy.

0,2

When decoding a video stream using a DSP, the interprocessor communication may generate a system overhead, and thus additional energy consumption [9, 2]. As an illustration, Fig. 1 describes the steps of a typical DSP video decoding process controlled by a GPP. The video frames are supposed in an input buffer in the memory. Codec parameters

Decoded frame transfer using DMA

0,8

Problem Statement

Coded Frame

DSP active/ARM idle

1

0

Input buffer

DSP Decoding

DSP idle/ ARM idle

1,2

PROBLEM STATEMENT AND CONTEXT

2.1

Memory DSP + ARM

Frame processing periode

57

Vol. 11, Num. 1, February 2014

qcif ARM and DSP decoding (Harbour)

400

160

DSP

ARM

4cif ARM and DSP decoding (Harbour)

70

DSP

140

300

ARM

60

250 200 150 100

Frames/s

DSP

Frames/s

Frames/s

cif ARM and DSP decoding (Harbour)

180

ARM

350

120 100 80 60

50 40 30 20 10

40

50

0

20

0 200

400

Frquency

600

4000

2000

0 0

6000

200

Bitrate (Kb/s)

0

6000

200

400

Frquency

600

5000

Frquency

2000 800

0

4000

400

600 4000

3000

2000

600

1000

Bitrate (Kb/s)

Bitrate (Kb/s)

0

Figure 3: ARM vs DSP video decoding performance 4cif decoding energy consumption (Harbour)

cif decoding Energy consumption (Harbour)

qcif decoding energy consumption (Harbour)

ARM DSP

ARM DSP

ARM DSP

35

10

5

30

4 3 2

6 4 2

6000

1

0 100

mJ/Frame

mJ/Frame

mJ/Frame

8

5000 4000 3000 200

300

400

Frequency

500

600

2000 1000 700

Bitrate (Kb/s)

800

25 20 15 10 5

0 0

6000 4000

200 400

Frequency

600

0

0 0

6000

800

0

4000

200 400

2000

Frequency

Bitrate (Kb/s)

2000

600 800

0

Bitrate (Kb/s)

Figure 4: ARM vs DSP decoding energy consumption of H264/AVC video

2.1.1

Performances Impact

Figure 3 shows, a comparison between the measured performance (Decoded Frames/s) of GPP and DSP video decoding (Harbor sequence/30 Hz) according to the video bitrate, resolution and clock frequency. The flat surface is the reference decoding speed corresponding to the display rate (30 Hz). It appears clearly that the DSP performances drops as compared to ARM decoding in case of qcif resolution.

2.1.2

Energy consumption Impact

Figure 5: Video delivery via heterogeneous networks

Figure 4 shows a comparison between the energy consumption (mJ/Frame) of ARM and DSP video decoding for the same sequence according to the video bit-rate, resolution and processor frequency. One can observe that in case of qcif and low bit-rate cif resolution (352x288), the ARM video decoding is more energy-efficient than the DSP. More information on performance and energy behavior of DSP decoding in term of video quality can be found in [5]. In the next section, we discuss the opportunity to exploit these results in a adaptive video decoding context.

2.2

3.1

Two video quality adaptation approaches exist: The scalable video coding [19] and adaptive streaming [21]. In the first one, a video content is coded in a self-contained file containing multiple layers : a base video quality layer and multiple enhancement layers. The enhancement layer consists of incremental data which allow to obtain a higher video quality starting from the base layer. In this case, there is a dependency between the different video qualities.

Context

In mobile devices, a video content may be accessed using heterogeneous networks. Figure 5 illustrates an examples of some network technologies and their bandwidth capabilities which range from tens of Kbits to tens of Mbits per seconds. Consequently, the video quality should vary when the mobile device roams from a network to another. In addition, the bandwidth may fluctuate within the same network due to network congestion. In this context, novel streaming and video coding standards [21, 19] are designed to adapt dynamically the video content quality to fit with the available networks bandwidth. Based on the aforementioned observations, one can leverage such dynamic video adaptation by selecting the best suitable processing resource (GPP or DSP) available on multi-core SoCs for a better energy-efficiency.

3.

Base layer

Ignoring Enhancement Layers

Quality 1

Video chunk

Figure 6: streaming

PROPOSED SOLUTION

We designed here a solution that consists in selecting the best processing resource in the context of adaptive video decoding on multi-core SoC containing a GPP and DSP.

SIGBED Review

Scope

Quality 2

Concidering Enhancement Layers

Quality 1

Scalable Video Coding

Adaptive Streaming

Scalable video coding and adaptive

On the other hand, in adaptive streaming, a single video content is coded in independent streams having different qualities. each stream is divided into smaller units (video

58

Vol. 11, Num. 1, February 2014

sequences of few seconds) called chunks. The quality adaptation is achieved at a chunk granularity. Each chunk is decoded independently from the other chunks. Figure 6 illustrates these two approaches.

3.2

Adaptation logic

Based on the observations discussed in section 2, we propose to implement a processor switching policy according to the video resolution. The implemented video player switches to ARM video decoding in case of low video resolutions (qcif ) and to DSP decoding for higher resolution (cif /4cif ). By doing so, the video decoder would select the best processing resources achieving the decoding task using the least energy as illustrated in Figure 7. Energy

ARM

Figure 8: GStreamer framework instructions set. For DSP decoding, we used TIViddec2, a proprietary H.264/AVC baseline profile plug-in provided by Texas Instrument. GStreamer is a framework for creating streaming multimedia applications. It has a modular design based on plugins that provide various codecs and other functionalities. In GStreamer, an Element is the most important object. It has one specific function, which can be, for example, the reading of data from a file, decoding of this data or witting it to a display device. Many elements can be linked together to form a Pipe and let data flow through this chain. As illustrated in Figure 8, In a typical decoding chain, the Elements are connected thanks to Pads which are used to negotiate links and data flow between them. Data flows out of one element through one or more source Pads, and elements accept incoming data through one or more sink Pads. The types of these data are described as a GstCaps (Capabilities). In addition, GStreamer provides powerful communication and synchronization mechanisms. Thus, different Elements can exchange various types of messages through a Bus and rise Events which can be handled synchronously by a dedicated handler. The above-described GStreamer features make it suitable to implement our proposed solution since we have to deal with dynamic events related to video quality adaptation decoding using two types of codecs targeting a ARM and DSP processor.

DSP

DSP ARM Optimal energy consumption Optimal energy consumption

Resolution

qcif

cif

4cif

Figure 7: Optimal energy consumption according to resolution We choose to implement such logic in case of dynamic adaptive streaming scenario because: 1) it is more used in real life as compared to scalable coding, 2) As discussed in section 3.1, the different video qualities are independent from each other (unlike scalable coding) which allows to one video chunk to be decoded in a GPP and the next one on the DSP without worrying about any dependency issue. In what remains, we discuss the implementation details of the proposed dynamic processor switching technique in case of adaptive video streaming regardless of any existing video streaming technology and standard [21, 1, 3]. This technique is implemented on an embedded Linux operating systems using the GStreamer multimedia framework.

4. 4.1

4.3

In the proposed solution, we reproduce a typical adaptive streaming scenario where a video content is coded in different qualities and divided into small chunks. Each chunk is coded using a video compression standard (in our case, H.264/AVC) and contained in an MP4 file format. Thus, a complete decoding pipe consists of the following elements:

DYPS DESIGN AND IMPLEMENTATION Hardware Setup

DyPS (Dynamic Processor Switching) was implemented on the OMAP3530 EVM board containing the low-power OMAP3530 SoC consisting of a Cortex A8 ARM processor and TMS320C64X DSP. The power consumptions of the DSP and the ARM processors are measured using the OpenPEOPLE framework [20], a multi-user and multi-target power and energy optimization platform and estimator. It includes the NI-PXI-4472 digitizer allowing up to a 100 KHz sampling rate.

4.2

• filesrc : for reading the video file. • qtdemux : for extracting the video content (H.264/AVC coded data) from the MP4 files. • ffdec h264 or TIViddec2 : for decoding the coded H.264/AVC data using the ARM processor or the DSP. • xvimagesink : for displaying the video content.

Software Setup

In DyPS, we suppose that different chunks are contained in one MP4 file. As illustrated in Fig. 9 : a video file is read using filesrc element (1) then its video content is extracted (2) using qtdemux demuxer. This element rises a ”new pad” event (3) when it detects a new video chunk. According to the Pad type (in our case, the video resolution), a dedicated event handler plugs dynamically (4) the demuxer to

On this hardware platform, the Linux operating system version 2.6.32 was used. The H264/AVC video decoding was achieved using GStreamer [8], a multimedia development framework. The ARM decoding, was performed using ffdec h264, a plug-in based on the widely used ffmpeg/libavcodec library compiled with the support of NEON SIMD

SIGBED Review

DyPS design

59

Vol. 11, Num. 1, February 2014

Quality 1 video chunk Quality 2 video chunk

Video stream

Video decoding without processor switching 1.3

Pads list

cif video

qcif video

1.2

(1)

New source Pad Event handler

filesrc element

(5)

Power (W)

Pads (i+1) (3)

(7)

(2) (4)

qtdemux element

Video quality adaptation

1.1

Messages listener

(6) EOS message

1

0.9

0.8

0.7

0.6

0.5

Pads (i) ffdec_h264 element

0

500

1000

1500

2000

2500

3000

Time (s) Dynamic switching

TIViddec2 element

Video decoding with processor switching

(8)

1.1

cif video

qcif video

1

Dynamic elements pluging according to video stream quality

xvimagesink element

Power (W)

Embedded Linux Operating System DSP Driver

Video quality adaptation and processor switching (DSP −> ARM)

0.9

Display Driver

0.8

0.7

0.6

0.5

ARM

DSP

Display 0.4

0

500

1000

1500

2000

2500

3000

Time (ms)

Figure 9: DyPS: Dynamic processor switching solution design using GStreamer

Figure 11: Dynamic processor switching impact on video decoding power consumption

the ffdec h264 or TIViddec2 decoder element. The next detected pads are queued in a list (5). When a video chunk is totally played, an ”End Of Stream” (EOS) message is sent via the communication bus (6). Each time an EOS is sent, a message listener treats it by retrieving a pad from the list (7) and plugs it to a decoder element (ARM or DSP decoder) according to the video quality (8). The processor switching is achieved at this step. The selected decoder is then connected to the xvimagesink display element. All these functionalities are controlled from the application using an API provided by the GStreamer framework.

5.

6.

CASE STUDY

As discussed in section 3.2, we have configured DyPS to play qcif videos on ARM processor and higher resolution on DSP processor. 10 seconds H.264/AVC Harbor video chunks was grouped in a MP4 file as illustrated in Figure 10.

Figure 10: Video chunks in MP4 file The player (with DyPS plugged) achieved a transparent processor switching according to the decoded video resolution. Figure 11 shows the power consumption plots resulting from decoding consecutive (cif, 4Mb/s) and (qcif, 512 Kb/s) chunks when disabling (Fig. 11-a) and enabling (Fig. 11-b) the processor switching. One can observe that switching to ARM decoding in case of qcif resolution allows to reduce to power consumption. A 30% energy saving is achieved in this example as compared to the DSP decoding when using DyPS without impact on the performances.

SIGBED Review

RELATED WORKS

Video decoding performance and energy consumption optimization issue has been addressed by many works. In [16], the performance and energy consideration when using pipelines and parallelism in CMOS circuits is studied and it was shown why these architectures increase the energy efficiency. In [24], the particular case of H.264 video decoding is analyzed and an energy-aware architecture design methodology is proposed for energy efficient H.264/AVC decoding. At this level, the impact on the energy consumption of application and operating system layers are not considered. At a higher level, In [10], H.264/AVC decoding performance is characterized on different GPP processor architectures at CPU cycle level. This approach is used in [15] for energy characterization and modeling of the different H.264/AVC decoder modules. The results were used to develop an energy-aware video decoding strategy for ARM processor supporting DVFS feature. The result of this study are generalized in [14] for considering the variation in video bit-rate. These studies was focusing only on GPP processor. Many works studied the performance and energy consumption of DSP video decoding. In [18, 13, 9], performance consideration of DSP decoding are analyzed especially regarding cache coherency maintenance and DMA transfers. In [12], energy characterization of DSP processing is addressed in terms of memory access and DMA transfers. In [11], DSP video decoding energy consumption is analyzed in terms of different video coding qualities. Many of these studies highlight the performance and the energy efficiency of the DSP video decoding. In this work a combined GPP/DSP decoding technique in a context of adaptive video decoding was proposed width the objective to save energy. As far as we know, no study proposed before such an approach.

60

Vol. 11, Num. 1, February 2014

7.

CONCLUSION

[10] M. Horowitz, A. Joch, F. Kossentini, and A. Hallapuro. H.264/AVC baseline profile decoder In this paper, we described DyPS: a new technique for complexity analysis. Circuits and Systems for Video energy-aware dynamic processor switching based on energy Technology, IEEE Trans on, 13(7):704–716, 2003. consumption properties of GPP and DSP when decoding [11] E. Juarez, F. Pescador, P. J. Lobo, A. Groba, and video. We have shown the benefit of using such a technique C. Sanz. Distortion-energy analysis of an for energy saving in a context of dynamic video streaming. OMAP-Based H.264/SVC decoder. Mobile Multimedia The feasibility of this technique was demonstrated by impleCommunications, (77):544–559, Jan. 2012. menting it on the flexible and powerful GStreamer multime[12] N. Julien, J. Laurent, E. Senn, and E. Martin. Power dia frameworks on embedded Linux platform. The processor consumption modeling and characterization of the TI switching criteria was based on the video resolution. This C6201. IEEE Micro, 23(5):40–49, Sept. 2003. can be generalized to the video bit-rate. DyPS was validated on one hardware platform and a more thorough validation [13] S. Kant, U. Mithun, and P. S. S. B. K. Gupta. Real is to be performed on other platforms by characterizing the time H.264 video encoder implementation on a performance and energy consumption of GPPs and DSPs in programmable dsp processor for videophone order to confirm the optimal energy consumption configuraapplications. Consumer Electronics, 2006. ICCE ’06. tion (see Figure 7). 2006 Digest of Technical Papers. International A more elaborate policy can be envisaged for driving the Conference on, pages 93–94, 2006. processor switching technique. For example, the overall sys[14] X. Li, Z. Ma, and F. Fernandes. Modeling power tem load is an important criteria to be considered. In fact, consumption for video decoding on mobile platform even ARM decoding is more energy efficient for some video and its application to power-rate constrained qualities, the use of the DSP offloads the ARM processor streaming. Visual Communications and Image and lets the operating system or other application execute Processing (VCIP), 2012 IEEE, pages 1 –6, 2012. tasks without impacting the video playback. Thus, we can [15] Z. Ma, H. Hu, and Y. Wang. On complexity modeling suggest to schedule a systematic DSP video decoding startof H.264/AVC video decoding and its application for ing from a given system load threshold. energy efficient decoding. IEEE Transactions on This work is a proof of concept and as a future works, we Multimedia, 13(6):1240 –1255, Dec. 2011. plan to implement this technique in a real adaptive stream[16] D. Markovic, V. Stojanovic, B. Nikolic, M. Horowitz, ing client and to extend the switching criteria to the bit-rate and R. Brodersen. Methods for true and the system load. energy-performance optimization. Solid-State Circuits, IEEE Journal of, 39(8):1282–1293, 2004. 8. REFERENCES [17] J. Pouwelse, K. Langendoen, and H. Sips. Dynamic voltage scaling on a low-power microprocessor. [1] Apple HTTP live streaming: Proceedings of the 7th annual int conference on Mobile http://tools.ietf.org/id/draft-pantos-http-livecomputing and networking, pages 251–259, 2001. streaming-04.txt. [18] P. Ramachandra and M. R. Satish. H.264 main profile [2] Codec engine overhead, [online]. available: http://processors.wiki.ti.com/index.php/codec engine verhead. video decoding implementation techniques on OMAP3430IVA. Signal Processing (ICSP), 2010 IEEE [3] Microsoft smooth streaming: 10th Int Conference on, pages 271–274, 2010. http://go.microsoft.com/?linkid=9682896. [19] H. Schwarz, D. Marpe, and T. Wiegand. Overview of [4] M. B and G. Archdale. Li-ion batteries and portable the scalable video coding extension of the H.264/AVC power source prospects for the next 5-10 years. standard. IEEE Transactions on Circuits and Systems Journal of Power Sources, 136(2):386–394, Oct. 2004. for Video Technology, 17(9), Sept. 2007. [5] Y. Benmoussa, J. Boukhobza, E. Senn, and [20] E. Senn, D. Chillet, O. Zendra, C. Belleudy, D. Benazzouz. GPP vs DSP: A performance/energy S. Bilavarn, R. Atitallah, C. Samoyeau, and characterization and evaluation of video decoding. in A. Fritsch. Open-people: Open power and energy Proceedings of the IEEE 21st International Symposium optimization PLatform and estimator. 15th Euromicro On Modeling, Analysis And Simulation Of Computer Conference on Digital System Design (DSD), pages And Telecommunication Systems, 2013. 668 –675, Sept. 2012. [6] T. Burd and R. Brodersen. Energy efficient CMOS [21] T. Stockhammer. Dynamic adaptive streaming over microprocessor design. System Sciences, Proceedings HTTP : standards and design principles. Proceedings of the Twenty-Eighth Hawaii International Conference of the second annual ACM conference on Multimedia on, 1:288–297, 1995. systems, pages 133–144, 2011. [7] A. Carroll and G. Heiser. An analysis of power [22] C. H. K. Van Berkel. Multi-core for mobile phones. consumption in a smartphone. Proceedings of the 2010 Proceedings of the Conference on Design, Automation USENIX conference on USENIX annual technical and Test in Europe, pages 1260–1265, 2009. conference, pages 21–21, 2010. [23] A. Wang and A. Chandrakasan. Energy-efficient DSPs [8] C. M. Don Darling and B. Singh. Gstreamer on texas for wireless sensor networks. Signal Processing instruments OMAP35x processors. Proceedings of the Magazine, IEEE, 19(4):68–78, 2002. Ottawa Linux Symposium, pages 69–78, 2009. [24] K. Xu, T.-M. Liu, J.-I. Guo, and C.-S. Choy. Methods [9] J. Golston, S. Arora, and R. Reddy. Optimized video for power/throughput/area optimization of decoder architecture for TMS320C64x dsp generation. H.264/AVC decoding. Journal of Signal Processing Proc. SPIE 5022, Image and Video Communications Systems, 60(1):131–145, 2010. and Processing, pages 719–726, 2003.

SIGBED Review

61

Vol. 11, Num. 1, February 2014

SIGBED Review Vol. 11, Num. 1, February 2014

Aug 27, 2013 - Performance; C.3 [Special Purpose and Application Based. Systems]: ..... codec library compiled with the support of NEON SIMD. Figure 8: ...

283KB Sizes 26 Downloads 143 Views

Recommend Documents

Western Cuyahoga Audubon Newsletter: Vol.11, Issue 1, February ...
Page 1 of 60. www.wcasohio.org. Western Cuyahoga Audubon. Society. February 2013 - April 2013. Inside this issue: Field trips Pages 2 & 3. Programs Page 4. Monthly Morning w/Birds Page 5. Volunteer Section Page 5. 2012 CBC Wrap-up Page 6. Carbon Offs

Volume 12 Num. 1, 2014 Final. 28,02,2014.pdf
Plot 10, Nurudeen Olowopopo Drive,. Beside M.K.O. Abiola Garden, Central Business District, Alausa-Ikeja. P. O. Box 1087, Ebute-Metta, Lagos State, Nigeria. Tel: +(234)01-7741273. Website: www.citn.org, Email- [email protected]. Abuja Liaison Office: 1,

February 11, 2014.pdf
ample sized classroom spaces, proximity to Walton School and outdoor green space. Opportunities are limitless. Future Preschool Offerings – Looking at ...

Volume 11, Issue 1 - February 2015
Mozambique, despite some new laws being introduced and institutions being ..... research project participant's right to privacy and the research community's .... Europe and Africa. I have promised that if elected chair, I would do my best to continue

Vol. 10, No. 1, 2014 - Sawtee
Web: www.sawtee.org. Regd. No. ... contents. Trade Insight Vol. 10, No. 1, 2014. Views expressed in Trade Insight are of the authors and editors and ..... Nepal will be hosting the Summit after ... top agendas of the Indo-Bhutan bilateral talks on ..

Vol. 10, No. 1, 2014 - Sawtee
tion technology tracker showing the movement of ...... Blog, Business Fights Poverty,. 11 January. 6 ibid. 7 ...... lian Experience” at the Australian National Univer-.

Western Cuyahoga Audubon Newsletter_ Vol.13, Issue 1, February ...
December, relatively mild weather. Inland water; lakes ... Programs Page 4, 5 ... data from observers worldwide Learn more about GBBC at http://gbbc.birdcount.org. Meet in the ... This is a worldwide citizen science effort. You can take .... Western

February 2014.pdf
Feb 13, 2013 - for meetings to resolve this with bond monies. The BOE should not have to fund this as the field. took its share of beatings for save the other grass fields. This will require a bid or bid waiver. from the BOF. Other - nothing. Page 1

raximhai-completa-vol 12 num 6_2016-especial.pdf
There was a problem loading this page. raximhai-completa-vol 12 num 6_2016-especial.pdf. raximhai-completa-vol 12 num 6_2016-especial.pdf. Open. Extract.

Bridge Creek SIC February 2014 Minutes (1).pdf
There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Bridge Creek ...

Revised February 2014 1 - Career and Technical Education in ...
Computer Systems Networking and Telecommunications, Classification of Instructional Program (CIP) 11.0901. Units of Instruction and Task Grid Linked ... 107 Describe the importance of safety as it relates to environmental issues. ... conditions. 112

Revised February 2014 1 - Career and Technical Education in ...
Computer Systems Networking and Telecommunications, Classification of Instructional Program (CIP) 11.0901. Units of Instruction and ..... an operating system. 404 Explain the basics of boot sequences, methods and startup utilities. 405 Select the app

VTV PDF Magazine February 2014
Sarkisian studied photography and film at the California Institute of the Arts and the American Film Institute in the late. 1980's. He began working with video as a ...

February 2014.pdf
106.9, Bay Cities Radio 95.1, 96.3, 103.7,. 570AM, & TV Stations 2, 5, 11, & 26 for. weather closings & delays. Page 1 of 1. February 2014.pdf. February 2014.pdf.

February 2014.pdf
1. /. 1. Loading… Page 1 of 1. February 2014.pdf. February 2014.pdf. Open. Extract. Open with. Sign In. Main menu. Displaying February 2014.pdf. Page 1 of 1.

VTV PDF Magazine February 2014
Every fabric that textile artist “KK” works up into a piece of clothing, a shawl or an object according to her uncompromi- sing principles of selection, bears the aura ...

February 2014 Acquisitions.pdf
Urban security: engineering the protection of our cities, v. 1. Brooklyn, NY: Polytechnic. University, 2003. HT 169 .U722 2003. CLIMATIC CHANGES--LAW AND ...

February 2014.pdf
... permiten valorar la efectividad del control interno; la eficiencia, eficacia y ... Se realizó el Informe Ejecutivo Anual del Sistema de Control Interno 2014, en el aplicativo ... February 2014.pdf. February 2014.pdf ... Displaying February 2014.

February 2014.pdf
review, or instrument proficiency check ride. Many of us. will be flying to the Bahamas in March, so let's get all the. little bugs fixed in our aircraft. For me it's time ...

VTV PDF Magazine February 2014
It also features vertical gardens by hanging garden designer Patrick Blanc. As opening exhibitions, ..... TO STAY. Henny Jolzer https://twitter.com/HennyJolzer -- ...

2014 05 11 Newsletter May 11 2014.pdf
May 11, 2014 - ... enjoyed our trip to Christ Church Ca- thedral on April 5th. We met up over coffee, were present for the. 12 noon Peace Prayer, and then had an Historic tour. After lunch. in the crypt, we had a tour of the Stained Glass and Icon of

February 28, 2014
Feb 28, 2014 - Valley View Consulting, L.L.C. did not provide investment advice on all ... Financial institution deposit yields generally provide the best interest ...

vol 78 iss 1 2014.pdf
The VDR receptor is an intranuclear receptor. It is encoded by a. large gene, over 100 kb, on chromosome 12q12-14 [33]. It is made. up of two promoter regions, ...

February 11, 2018 - The Boston Pilot
11 Feb 2018 - Sunday, Feb 11 - Call the office or email ... country, and we are fortunate that one is hosted so close to Reading! FAMILY MASS. Our next .... or text PRIEST25 to 20222 to donate $25. Msg. & data rates may apply. AD SPACE. AVAILABLE. 61