The EPFL LATSIS Symposium 2006

Predicting Neuronal Activity with Simple Models of the Threshold Type W. Gerstner∗, R. Jolivet∗, R. Brette†, C. Clopath∗, A. Rauch‡ and H.-R. L¨uscher§ ∗

Brain Mind Institute, EPFL, 1015, Lausanne, Switzerland {wulfram.gerstner,renaud.jolivet,claudia.clopath}@epfl.ch † Equipe Odyss´ee, Ecole Normale Sup´erieure, 75230, Paris, France [email protected] ‡ MPI for Biological Cybernetics, 72012, T¨ubingen, Germany [email protected] § Institute of Physiology, University of Bern, 3012, Bern, Switzerland [email protected] A Membrane voltage (mV)

Evaluating the predictive power of simple models of the Integrate-and-Fire-type [1] and developing systematic methods to construct such models from actual recordings has experienced a great deal of popularity in recent years [2, 3, 4, 5, 6, 7]. Several groups reported that this type of model yields accurate quantitative predictions of the activity of real neurons. Rauch and colleagues have shown that Integrate-and-Fire-type models with adaptation reliably predict the mean firing rate of cortical pyramidal cells [3]. Keat and colleagues have shown that a similar model is able to predict almost exactly the timing of spikes of neurons in the visual pathway [2]. However, the question is still open of how the predictions of Integrate-and-Fire-type models compare to the precise structure of spike trains in the cortex. Indeed, cortical pyramidal neurons are known to produce spike trains whose reliability highly depends on the input scenario [8].

50

0

-50

-100 1600

1700

1800

1900

Time (msec)

B

Extending and improving preexisting methodologies, our laboratory has developed a technique to extract relevant parameters from in vivo-like voltage traces [4]. The framework has been extended to deal with various types of stimulations including the increasingly popular dynamic-clamp technique [5]. Our methodology was successfully applied to actual recordings of cortical neurons (see Figure 1) and we were able to confirm and extend the results of Rauch and colleagues using a similar dataset. We found that a simple Integrate-and-Fire-type model is able to accurately predict both the subthreshold fluctuations and the exact timing of spikes within the limits imposed by the input-dependent intrinsic reliability of the neuron [9]. More specifically, we evaluated the reliability of spike timing in cortical neurons and compared it to the predictions of our model using the same quality measure and found that model predictions are always close to the best accessible prediction level.

C

Figure 1: Performances of an Integrate-and-Fire-type model as described in [9]. A. Prediction of the model (black line) is compared to the spike train of the corresponding neuron (thick white line). B. Zoom on the subthreshold regime. This panel corresponds to the first dotted zone in A (horizontal bar is 5 ms; vertical bar is 5 mV) C. Zoom on a correctly predicted spike. This panel corresponds to the second dotted zone in A (horizontal bar is 1 ms; vertical bar is 20 mV). The model slightly undershoots during about 4 ms after the spike (see [9] for further details).

midal neurons under random current injection behave very much like Integrate-and-Fire neurons including a spike-frequency adaptation process. This is a result of importance. Indeed, the Integrate-and-Fire-type models are extremely popular in large scale network studies. Our results can be viewed as a strong a posteriori

Our results suggest that layer 5 neocortical pyra-

81

Dynamical principles for neuroscience and intelligent biomimetic devices

justification to the use of this class of model neurons. They also indicate that the picture of a neuron combining a linear summation in the subthreshold regime with a threshold criterion for spike initiation is good enough to account for much of the behavior in an in vivo-like lab setting. This should however be moderated since several important aspects were neglected in this study (see [9] for a detailed discussion). In particular, one very important aspect is that our experimental paradigm used somatic current injection. Thus, all dendritic non-linearities, including backpropagating action potentials and dendritic spikes are excluded. However, results from Larkum and colleagues suggest that similar threshold models could still be used in this context given that a multi-compartment model is considered [10]. Our results illustrate as well the importance of two features of neuronal dynamics. Firstly, like in [3], we found that adaptation is a necessary component in the model to connect between various driving regimes. Secondly, while it is relatively easy to correctly predict the subthreshold dynamics even with a simple leaky integrator, it is difficult to find an efficient criterion to decide when to elicit spikes. A threshold model as proposed by Brette and Gerstner therefore seems ideally suited to deal with these issues [6]. It includes an additional mechanism that can be tuned to model spike-frequency adaptation but that is not restricted to this specific neuronal feature [11, 12]. Moreover, the balance equation for voltage includes an exponential term as proposed by Fourcaud-Trocm´e and colleagues [13] which describes early activation of voltage-gated sodium channels. This last addition allows to model specific behaviors like delayed spike initiation and offers flexibility at the level of the threshold mechanism. Application of existing mapping techniques [4, 6] to recordings of cortical pyramidal neurons is under study at the moment.

[5] R. Jolivet and W. Gerstner “Predicting spike times of a detailed conductance-based neuron model driven by stochastic spike arrival” Journal of Physiology–Paris, Vol. 98, pp. 442–451, 2004. [6] R. Brette and W. Gerstner “Adaptive Exponential Integrate-and-Fire Model as an Effective Description of Neuronal Activity” Journal of Neurophysiology, Vol. 94, pp. 3637–3642, 2005. [7] J. Pillow, L. Paninski, V. Uzzell, E. Simoncelli and E. Chichilnisky “Prediction and Decoding of Retinal Ganglion Cell Responses with a Probabilistic Spiking Model” Journal of Neuroscience, Vol. 25, pp. 11003– 11013, 2005. [8] Z. Mainen and T. Sejnowski “Reliability of Spike Timing in Neocortical Neurons” Science, Vol. 268, pp. 1503–1506, 1995. [9] R. Jolivet, A. Rauch, H.-R. L¨uscher and W. Gerstner “Predicting spike timing of neocortical pyramidal neurons by simple threshold models” To appear in the Journal of Computational Neuroscience, 2006. [10] M. Larkum, W. Senn and H.-R. L¨uscher “Top-down Dendritic Input Increases the Gain of Layer 5 Pyramidal Neurons” Cerebral cortex, Vol. 14, pp. 1059–1070, 2004. [11] E. Izhikevich “Simple Model of Spiking Neurons” IEEE Transactions on Neural Networks, Vol. 14, pp. 1569–1572, 2003. [12] E. Izhikevich “Which model to use for cortical spiking neurons?” IEEE Transactions on Neural Networks, Vol. 15, pp. 1063–1070, 2003. [13] N. Fourcaud-Trocm´e, D. Hansel, C. van Vreeswijk and N. Brunel “How Spike Generation Mechanisms Determine the Neuronal Response to Fluctuating Inputs” Journal of Neuroscience, Vol. 23, pp. 11628–11640, 2003.

References [1] W. Gerstner and W. Kistler, Spiking Neurons Models: Single Neurons, Populations, Plasticity, Cambridge University Press, 2002. [2] J. Keat, P. Reinagel, R. Reid and M. Meister “Predicting Every Spike: A Model for the Responses of Visual Neurons” Neuron, Vol. 30, pp. 803–817, 2001. [3] A. Rauch, G. La Camera, H.-R. L¨uscher, W. Senn and S. Fusi “Neocortical Pyramidal Cells Respond as Integrateand-Fire Neurons to In Vivo-Like Input Currents” Journal of Neurophysiology, Vol. 90, pp. 1598–1612, 2003. [4] R. Jolivet, T. Lewis and W. Gerstner “Generalized Integrate-and-Fire Models of Neuronal Activity Approximate Spike Trains of a Detailed Model to a High Degree of Accuracy” Journal of Neurophysiology, Vol. 92, pp. 959–976, 2004.

82

Predicting Neuronal Activity with Simple Models of the ...

proposed by Brette and Gerstner therefore seems ide- ally suited to deal with these issues [6]. It includes an additional mechanism that can be tuned to model.

117KB Sizes 1 Downloads 289 Views

Recommend Documents

Predicting Synchrony in a Simple Neuronal Network
of interacting neurons. We present our analysis of phase locked synchronous states emerging in a simple unidirectionally coupled interneuron network (UCIN) com- prising of two heterogeneously firing neuron models coupled through a biologically realis

Predicting Synchrony in a Simple Neuronal Network
as an active and adaptive system in which there is a close connection between cog- nition and action [5]. ..... mild cognitive impairment and alzheimer's disease.

Neuronal activity regulates the developmental ...
Available online on ScienceDirect (www.sciencedirect.com). ..... Multi-promoter system of rat BDNF .... data provide the additional information that deprivation of visual ..... Egan, M.F., Kojima, M., Callicott, J.H., Goldberg, T.E., Kolachana, B.S.,

Predicting drug activity - STEM
making it or testing it. The likelihood that a ... molecular model building they provide a good way of visualising molecules and beginning to ... From the website:.

Predicting the Drama of the Commons with ...
Aug 18, 2010 - investing in technology that facilitates the exploitation of natural resources and thus .... over the lake and fish at their preferred, sometimes remote spot(s). ... leaders who invited the village members to a “fishermen‟s meeting

Predicting the Present with Google Trends
Apr 10, 2009 - Denote Ford sales in the t-th month as {yt : t = 1, 2, ··· ,T} and the Google Trends index in the .... i. http://www.census.gov/marts/www/marts.html ii.

Predicting the volatility of the S&P-500 stock index via GARCH models ...
comparison of all models against the GARCH(1,1) model is performed along the lines of the reality check of [White, H., 2000, A reality check for data snooping. .... probability to the true integrated (daily) volatility (see,. e.g., Andersen, Bollersl

Hierarchical Models for Activity Recognition
Alvin Raj. Dept. of Computer Science. University of ... Bayesian network to jointly recognize the activity and environ- ment of a ... Once a wearable sensor system is in place, the next logical step is to ..... On the other hand keeping the link inta

Vulnerability of the developing brain Neuronal mechanisms
About 300,000 low birth weight neonates are born in the United States each year [1], and 60,000 of them are classified as very low birth weight (< 1500 g). An overwhelming majority of these children are born preterm, at a time when the brain's archit

Surfactant-Induced Modulation of Fluorosensor Activity: A Simple Way ...
Feb 15, 2006 - Surfactant-Induced Modulation of Fluorosensor Activity: A Simple Way to. Maximize the Sensor Efficiency. Arabinda Mallick, Malay C. Mandal, ...

On Goodness of Fit Tests For Models of Neuronal Spike ...
Oct 27, 2008 - to the third Ogata test. 3. A plot of uk+1 vs uk exhibiting a pattern would be inconsistent with the homogeneous .... expression of the partial sums in this particular case: Sn = Λn+1 − Λ1 − n. (17) ... first test, Berman's test

On Goodness of Fit Tests For Models of Neuronal Spike ...
Oct 27, 2008 - We illustrate here the time transformation with simulated data. This is ..... of our STAR (Spike Train Analysis with R) package for the R2 software.

Modeling Antileukemic Activity of Carboquinones with ...
... for 37 carboquinones based on a four-variable model using molecular connectivity χ and E-state variables. 360 J. Chem. Inf. Comput. Sci., Vol. 39, No. 2, 1999.

Predicting the Present with Bayesian Structural Time Series - CiteSeerX
Jun 28, 2013 - Because the number of potential predictors in the regression model is ... 800. 900. Thousands. Figure 1: Weekly (non-seasonally adjusted) .... If there is a small ...... Journal of Business and Economic Statistics 20, 147–162.

Predicting visibilities in MeqTrees with UVBrick - GitHub
SixpackImageComponent (Python). FFTBrick ... interpolated visibilities as a function of frequency and time. ... enables one to calculate V(u,v,w) from V(u,v,w=0).

Dynamic structures of neuronal networks
The quantum clustering method assigns a potential function to all data points. Data points that ... 4.2.6 Relations between spatial and spatio-temporal data . . . 20.

Identification of Insurance Models with ...
Optimization Problem: max(t(s),dd(s)) ... Optimization Problem: max(t(s),dd(s)) .... Z: Car characteristics (engine type, car value, age of the car, usage, etc.). Aryal ...

Neuronal algorithms that detect the temporal order of ...
1996; Srinivasan, Zhang & Bidwell, 1997) can depend on the ability to compute the direction of motion. The first ... D=A.~B. (A). (B) τ. FIG. 1: Two models of temporal order detection have been obtained from experimental data. (a). Hassenstein-Reich

Nematode locomotion: dissecting the neuronal ... - Semantic Scholar
To survive, animals process sensory information to drive .... facing receptive field would offer a better engineering solution. Experimental support for anterior stretch control in forward .... potential, followed by a slow relaxation back to baselin

Monitoring the Errors of Discriminative Models with ...
One key component of our system is BayesDB, a probabilistic programming platform for probabilistic data analysis. (Mansinghka et al., 2015b). A second key component is CrossCat, a Bayesian non-parametric method for learning the joint distribution ove

The cerebellum is involved in predicting the sensory consequences of ...
parametrically varied degrees of discrepancy between the predicted and ... Using this robotic interface, computer controlled ... monitor [1] or internal forward model (Fig. 1) has been .... age 24 years; age range 8 years) gave informed consent for t

multiple people activity recognition using simple sensors
Depending on the appli- cation, good activity recognition requires the careful ... sensor networks, and data mining. Its key application ... in smart homes, and also the reporting of good results by some ..... WEKA data mining software: An update.