Characterization of Cu surface cleaning by hydrogen plasma M. R. Baklanov,a) D. G. Shamiryan,b) Zs. To¨kei, G. P. Beyer, T. Conard, S. Vanhaelemeersch, and K. Maexb) IMEC, B-3001 Leuven, Belgium

共Received 28 March 2001; accepted 29 May 2001兲 When a Cu surface is exposed to a clean room ambient, a surface layer containing Cu2O, CuO, Cu共OH兲2, and CuCO3 is formed. Thermal treatment in a vacuum combined with hydrogen plasma can remove this layer. Water and carbon dioxide desorb during the thermal treatment and the hydrogen plasma reduces the remaining Cu oxide. Ellipsometric, x-ray photoelectron spectroscopy, and time-of-flight secondary ion mass spectroscopy analyses indicate that the mechanism of interaction of the H2 plasma with this layer depends on temperature. When the temperature is below 150 °C, H2 plasma cannot completely reduce Cu oxide. Hydrogen diffuses through the oxide and hydrogenation of the Cu layer is observed. The hydrogenated Cu surface has a higher resistance than a nontreated Cu layer. The hydrogen plasma efficiently cleans the Cu surface when the substrate temperature is higher than 150 °C. In this case, hydrogen atoms have enough activation energy to reduce Cu oxide and adsorbed water forms as a byproduct of Cu oxide reduction. When the wafer temperature is higher than 350 °C, the interaction of the Cu film with hydrogen and residual oxygen is observed. © 2001 American Vacuum Society. 关DOI: 10.1116/1.1387084兴

I. INTRODUCTION Advanced interconnect structures of integrated circuits 共ICs兲 incorporate Cu for the transmission of the electrical signal. Although Cu has a lower resistivity than Al, it has been introduced into the IC production only recently due to concerns of Cu diffusion into the active region of microelectronic devices. In order to suppress Cu diffusion, Cu interconnects are usually encapsulated by metallic and dielectric diffusion barriers. This encapsulation scheme raises a number of issues such as interface diffusion in electromigration1 and interface reactions during the deposition of the dielectric diffusion barrier on top of Cu.2 In the latter study, it was demonstrated that the reaction between silicon nitride and Cu was retarded by the presence of a native passivation layer on the Cu surface. The disadvantage of this passivation layer, however, is that it is not self-limiting and stable as in the case of Al. The Cu surface exposed in air forms monovalent cuprous oxide (Cu2O) followed by the nucleation and growth of the divalent cupric oxide 共CuO兲 above Cu2O. 3–7 Copper hydroxide Cu共OH兲2 and copper carbonate CuCO3 have been observed to form on Cu2O and CuO due to the adsorption of water and carbon dioxide from air. Exposure of the Cu surface in a carbon fluoride plasma leads to the formation of a thick fluorocarbon polymer film.4 Cleaning of the Cu surface exposed in air, oxygen, and fluorine plasmas by wet chemicals has already been analyzed.3,4 However, the in situ dry cleaning is an important issue because the Cu surface will be covered by native oxide and contaminated from the clean room ambient prior to the diffusion barrier deposition. Two general approaches for the dry cleaning of solid sura兲

Electronic mail: [email protected] Also at: EE Department, K. U., Leuven, Belgium.

b兲

1201

J. Vac. Sci. Technol. B 19„4…, JulÕAug 2001

faces are known. The first approach is a selective etching of the residue film by the formation of volatile compounds. A typical example is the removal of fluorocarbon polymers by oxygen and/or water based plasma. These processes are well documented and widely used in ultralarge scale integration 共ULSI兲 technology. However, it is difficult to realize such types of processes for Cu surface cleaning because the materials with high vapor pressure have not been found among inorganic copper compounds. Only Cu chlorides have relatively high vapor pressure but they are undesirable because copper corrosion occurs due to the halogen remaining after cleaning. Recently, Sekiguchi et al. reported results of the cleaning of the Cu surface by H共hfac兲 关hexafluoroacetylacetone兴 that etches Cu oxide by the formation of a volatile metal organic compound, Cu共hfac兲2. 8 It was shown that the Cu surface cleaning process is efficient in the temperature region from 170 °C to 350 °C. This reaction was efficient at a quite high pressure of H共hfac兲 ( P⬎665 Pa). Ueno et al. studied the cleaning of CHF3 plasma etched in SiO2 /SiN/Cu via structures by H共hfac兲 at 1 Torr.9 It was shown that CuO and Cu2O are removed both from the via bottom and via sidewall by H共hfac兲 above 150 °C. However, it was difficult to remove all the Cu contamination on the SiO2 because Cu likely diffuses into the dielectric. The remaining Cu residue was removed by a DHF 共HF:H2O⫽1:100兲 lift-off cleaning step. The second approach is based on the reduction of a metal oxide and it is important when the number of volatile compounds is limited. The cleaning agent must be a strong reduction agent. Therefore, a hydrogen atom is a good candidate for this purpose because hydrogen plasmas are extensively used in ULSI technology. Although the Cu oxide reduction by hydrogen plasma leaves a metallic Cu residue on a dielectric surface 共via sidewall兲,9 this process is important for several practical applications. A typical example is in

1071-1023Õ2001Õ19„4…Õ1201Õ11Õ$18.00

©2001 American Vacuum Society

1201

1202

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1202

FIG. 1. FIB cross section of a via chain structure is shown. On metal 1 共M1兲, there is a good contrast between SiO2 and SiC, while it is difficult to distinguish the interface SiC/SiON. On metal 2 共M2兲, the top hardmask is SiO2 and the SiO2/Flare™ interface is easily located. Via size: 0.25 ␮m. M1 and M2 trench width: 0.35 ␮m. M1 copper height: 730 nm. M1 dielectric: SiO2. M2 dielectric: Flare™.

situ cleaning of the Cu surface before diffusion barrier deposition in damascene structures. An additional advantage of the hydrogen plasma is the ability to remove carbon and fluorine containing residues formed during plasma etching of the dielectric stack while H共hfac兲 is only able to remove Cu oxide. In this article, results of both ex situ and in situ evaluation of the Cu surface cleaning by hydrogen plasma are reported. Thermodesorption spectroscopy 共TDS兲, x-ray photoelectron spectroscopy 共XPS兲, atomic force microscopy 共AFM兲, timeof-flight secondary ion mass spectrometry 共TOF SIMS兲, and in situ ellipsometry are used for a sample characterization. Electrical evaluation of the Cu cleaning efficiency was performed using special via chain structures. In these experiments, the Cu cleaning was done in situ before TaN barrier deposition. Ex situ experiments show that the Cu surface can be cleaned by a H2 plasma combined with thermal treatment.5 However, some of low-k dielectrics, which are used in combination with Cu, are etched or modified by a H2 plasma. Therefore, it is important to evaluate the kinetics of Cu surface cleaning to be able to compare these data with the etch/ modification rate of low-k dielectrics and to choose a suitable process window. II. EXPERIMENT Most of the experiments were done with sputtered physical vapor deposition 共PVD兲 Cu films. The Cu layers were deposited on an AMAT Ionized Physical Vapor Deposition 共I-PVD兲 industrial cluster tool. After orientation, the wafers were degased in a clamped PVD style degas chamber at 350 °C for 2 mins. Then, 30 nm Ta共N兲 and 150 nm copper were subsequently deposited onto a SiO2 共500 nm兲 film. The wafers were stored in a clean room ambient. It was found that the main change of the residue thickness and composition happens during the first several hours of air storage. A limited part of the cleaning experiments were done with electrochemically deposited 共ECD兲 Cu and PVD Cu films preliminarily exposed in oxygen and fluorine plasmas. In situ evaluation of the Cu surface cleaning was carried out using an experimental setup described in our previous article.10 A sample with the Cu layer was placed on a heated pedestal inside a vacuum chamber equipped with an in situ ellipsometer SE-401 from Sentech and a special downstream inductive plasma source developed by Mattson Technology Inc. The distance between the plasma source and the sample was ⬇20 cm. After pump down, the chamber was filled with a N2 /H2 (10%) mixture up to 0.4 Torr at room temperature. J. Vac. Sci. Technol. B, Vol. 19, No. 4, JulÕAug 2001

The gas mixture was supplied by Air Product. According to the product certificate, the concentration of oxygen in the gas mixture was ⬍2 ppm, water ⬍1 ppm, and carbon oxides ⬍0.5 ppm. The N2 /H2 flow was equal to 20 sccm and kept constant during the cleaning experiments. A heating system of the pedestal promoted a change in the sample temperature from 20 °C to 500 °C and to kept it constant during the measurements. The plasma is ignited after the stabilization of the sample temperature. Change in the ellipsometric characteristics was monitored by in situ ellipsometry. The pedestal temperature during the ellipsometric measurements was monitored by a thermocouple. The change of the pedestal temperature during the cleaning experiments did not exceed ⫹/⫺2 °C. Preliminarily cleaned Cu samples were used to evaluate the change of optical characteristics of Cu with temperature. These measurements allowed us to conclude that the change of the Cu optical characteristics due to the temperature variation during the cleaning experiments was negligible and did not affect the results of the measurements. Desorption of surface compounds during annealing were studied by TDS. The Cu surface was characterized ex situ by XPS, AFM, TOF SIMS, and ellipsometry before and after cleaning. Part of the cleaning tests related to TDS and the electrical evaluation were carried out on the same AMAT I-PVD production tool, where the copper layers were deposited. The wafers were heated in the degas chamber to a temperature of 350 °C for 2 min. The background pressure of the chamber was 10⫺7 Torr. During processing, the pressure rises to a few mTorr due to the introduction of argon. The wafers are directly transferred to the preclean chamber and the plasma treatment was done in a mixture of 5% hydrogen and 95% helium at pressures below 100 mTorr. A dual damascene scheme was used for the electrical evaluation of the cleaning efficiency prior to barrier deposition. The experiments were carried out on 8 in. wafers. In Fig. 1, a focused ion beam 共FIB兲 cross section of a via-chain structure is shown. On metal 1 level, 500 nm SiO2 was taken as a dielectric with 50 nm SiC and 200 nm SiON antireflective coating 共ARC兲 capping layer. In patterning, the deep ultraviolet 共DUV兲 lithography target was on 0.25 ␮m. For trench filling, I-PVD Ta共N兲, and Cu seed were deposited, which is followed by ECD copper filling. The metal in excess was removed by a two-step chemico-mechanical polishing 共CMP兲 process. On via and metal 2 levels, Flare™ 共an organic low-k polymer film from Allied Signal兲 was taken as a dielectric with SiC intermediate and SiO2 as top hard masks. In the DUV lithography, the 0.25 ␮m node was tar-

1203

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1203

FIG. 2. AFM pictures of the copper surface after storage in the clean room and various treatments are shown.

geted. The dual damascene etch was realized in a ‘‘via first at via level’’ approach. To establish good electrical contact between metal 1 and metal 2, the underlying metal 1 copper surface has to be cleaned. Before metallization, all wafers were degassed at 350 °C for 3 min. The degas was followed directly by a preclean, where different splits were introduced. Ar preclean 共physical bombardment by Ar⫹: ARPR兲 was contrasted with reactive preclean 共H2 /He plasma exposure for 1 min: RPC兲. Furthermore, a wafer which was cooled prior to RPC 共COLDRPC兲 was included in the tests as well. The preclean is directly followed by I-PVD Ta共N兲 and Cu seed layer deposition. The trench/via holes were filled by ECD copper and the metal in excess was removed by a twostep CMP process. III. RESULTS A. Surface structure

The evolution of the copper surface after various treatments is shown in Fig. 2. The as deposited layers have a clearly recognizable grain structure with a diameter of about 80 nm. After storage in air 共up to six months兲 the grain structure is still preserved though less defined. Upon thermal treatment in a vacuum, the mean grain size increases by approximately a factor of 5. The recrystallization of the copper film is due to the difference of the anneal and deposition temperature. When the thermal treatment is followed by an exposure to hydrogen plasma, large grains are observed. A single hydrogen plasma treatment at room temperature, however, does not seem to alter the grain structure compared JVST B - Microelectronics and Nanometer Structures

with the structure of the stored sample. Hence, the thermal annealing is the main reason for changing the Cu surface structure. B. Surface compounds

The composition of the residual and desorbed gasses in the anneal chamber was monitored in situ by a quadruple mass spectrometer. Figure 3 displays the evolution of the main compounds desorbed from the Cu surface during the thermal treatment. The main desorption species have masses 44, 28, 16, and 18 AMU. Mass 44 is most likely carbon dioxide, which fragments into carbon monoxide 共mass 28兲, and oxygen 共mass 16兲. Mass 18 is related to water desorption. The signal at mass 38 is an isotope from the argon gas, which transfers the heat from the chuck to the wafer.11 The desorption of these gases occurs in two steps. In the pre-equilibrium of the thermal treatment, i.e., before the argon signal at 38 AMU reaches steady state, all masses record the highest concentration in form a sharp peak within the first 10 s. At this stage, the argon pressure at the back of the wafer is still increasing which indicates that the wafer temperature is rising. Within 30–35 s after the start of the thermal treatment—at which point the argon signal and the wafer backside pressure are stable—the signal of the desorbing species goes through a second, albeit smaller maximum. The signals then decay monotonically until it levels out at the background noise of the measurement after about 100 s. This double peak structure, which is not observed in the desorption signal of, e.g., water from silicon oxide layers, can be interpreted as being due to the desorption of first weakly

1204

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1204

FIG. 3. Desorption products from a copper surface which was stored in the clean room are shown. Wafer temperature is 350 °C, pressure is 1 mTorr argon, and the duration of thermal treatment is 2 min.

bonded species on the surface of copper followed by the desorption of chemisorbed species. These data are in agreement with results of Watanabe et al.12 In this work, the temperature dependence of the water desorption from the electropolished copper surface was studied by a temperature programmed desorption measurement. It was found that the first desorption peak of water is around 70 °C in the spectrum. The high temperature water can be removed from the Cu surface only when the temperature is higher than 150– 200 °C. An interesting and important conclusion was made by comparing TDS of high temperature water and hydrogen. Hence, Watanabe and co-workers12 concluded that the second water desorption peak is related to the interaction and reduction of Cu oxide with residual H2 gas or to hydrogen diffusion from bulk Cu. Volatile compounds can efficiently be removed from the copper surface by a thermal treatment. However, according to previous work12 the complete removal of Cu oxide requires hydrogen. The appearance of carbon dioxide and monoxide, not only in the low temperature but also in the high temperature part of desorption spectrum, indicates the presence of chemically bonded carbon oxides on the copper surface. The chemical make up of the copper surface was studied by ex situ XPS. Figures 3 and 4 show the Cu2p 3/2 and C1s spectra from the different samples. The Cu2p reference spectrum in Fig. 4 contains two peaks at ⬃932 and ⬃934 eV, which are attributed to metallic Cu and Cu2⫹ 共CuO or/and Cu hydroxide/carbonate兲. Between 938 and 945 eV, a shake up structure associated with the Cu2⫹ is observed. The peaks related to Cu2⫹ 共934 eV and shake up structure兲 are not pronounced for the as-deposited Cu but their intensity increases during storage in air, which is related to the oxidation of Cu2O to CuO.3,4 After the hydrogen plasma treatment, the J. Vac. Sci. Technol. B, Vol. 19, No. 4, JulÕAug 2001

FIG. 4. Cu2p spectra after storage, hydrogen plasma, a thermal treatment in a vacuum, and a combination of both thermal treatment and hydrogen plasma are shown.

Cu2⫹ peaks are strongly reduced. The CuO reduction is also pronounced after the thermal treatment without hydrogen plasma. No significant differences are observed in the Cu2p 3/2 photoelectron spectrum between the thermal treatment and thermal treatment⫹hydrogen plasma. This phenomenon is probably related to a disproportionation reaction

CuO⫹Cu→Cu2O.

共1兲

The reduction of CuO to Cu2O during annealing in Ar was also observed by Ueno et al.9 at temperatures above 200 °C. The Cu1⫹ oxidation state of Cu2O is shifted only slightly from the elemental Cu(2p) binding energy 共⬃0.1 eV兲. Therefore, XPS results, on their own, are not enough to make a definite conclusion about the complete reduction of Cu oxide. Additional uncertainty is related to ex situ measurements and the Cu surface can already be oxidized during the sample transportation from the cleaning chamber to the XPS spectrometer. The main peak of the carbon spectrum 共Fig. 5兲 is attributed to C–H and C–C bonds while the structure at the higher binding energy is associated to C–O bonds. The relative amount of C–O bonds decreases after hydrogen plasma treatment, thermal treatment, or a combination of both.

1205

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1205

fundamental equation of ellipsometry, the maximum ⌬ corresponds to the minimum residue film thickness.13 The combination of different cleaning procedures allowed the authors to conclude that the ⌬ and ⌿ values of the clean PVD Cu surface are equal to ⬇112° and ⬇43.5°, respectively. A complex refractive index of the Cu substrate was calculated from the aforementioned ⌬ and ⌿ values and equal to N⫽(n ⫹ik)⫽0.22⫹i3.7. The calculated n and k values are in the range of previously reported data14,15 and in good agreement with the results of Liu et al.15 deduced for sputtered Cu films. Therefore, the aforementioned values of ⌬ and ⌿ can be used as criteria of the cleaning efficiency. The in situ ellipsometric study of the Cu surface cleaning shows that there are three characteristic temperature regions with different mechanisms of interaction of the residue film with a N2 /H2 plasma. 2. Low temperature region

FIG. 5. C1s spectra after storage, hydrogen plasma, a thermal treatment in a vacuum, and a combination of both thermal treatment and hydrogen plasma are shown.

C. Kinetics of the Cu surface cleaning

1. Ellipsometric characteristics of the clean Cu surface

The kinetics of the Cu surface cleaning by downstream hydrogen plasma was analyzed by in situ ellipsometry. The initial experimental parameters in the ellipsometric measurements are the phase and amplitude polarization angles ⌬ and ⌿. These angles are defined by the fundamental equation of ellipsometry ␳ ⫽R p /R s ⫽tan ⌿ exp(i⌬)13 where ␳ is a complex ratio of the reflection coefficients R p and R s of the polarized light parallel and perpendicular to the plane of incidence, respectively. According to the available literature data, the refractive index 共n兲 and absorption coefficient 共k兲 of Cu at ␭⫽6328 A are equal to 0.17–0.25 and 3.4–3.8, respectively.14,15 A 150 nm Cu film is optically thick and behaves as a semi-infinite substrate. Therefore, based on the

The low temperature region is typical for the substrate temperature below 50 °C 共Fig. 6兲. The phase angle ⌬ first slightly increases after the plasma ignition, but the amplitude angle ⌿ is stable. After this initial period, the ellipsometric angle ⌬ monotonically decreases. The change of ⌬ brakes off when the discharge is switched off. The change of the amplitude angle ⌿ is more complicated. This angle is almost stable; however, when a N2 /H2 discharge is switched off, the angle ⌿ drops significantly 共at the same time, a relative change of ⌬ is much less than ⌿兲. A plasma ignition provides restitution of the ⌿ value. Analysis of the fundamental equation of ellipsometry allows one to conclude that the decrease of ⌬ is related to the increase of the residue thickness or/and to the formation of a modified surface layer with different optical characteristics in comparison with the clean Cu surface. This layer is almost transparent 共k⬇0, Fig. 6兲 and exists only in hydrogen plasma. When the plasma is switched off, this layer transforms to a nontransparent state without a significant change of thickness. Sheet resistance measurement of the Cu layer shows that the layer formed in hydrogen plasma has a higher resistivity than the nontreated Cu layer. In Fig. 6, the calculated nomogramm corresponds to the formation of a surface film with a refractive index of n⫽1.5 共CuO兲 and different absorption coefficients. This nomogramm gives only a qualitative idea about the variation of the absorption coefficient. Although the real refractive index

FIG. 6. Change of the ellipsometric characteristics ⌬ and ⌿ of the Cu film in a N2 /H2 plasma at T⫽20°C is shown. Decrease of ⌬ suggests that the residue thickness is increasing. Jumps in ⌿ occurring when the plasma is switched off suggest that the optical characteristics of the Cu surface 共and the chemical composition兲 are different in H plasma and in molecular hydrogen. The calculated nomogramm shows change of the ellipsometric characteristics when films with different absorption coefficient 共k兲 grow on top of the Cu surface.

JVST B - Microelectronics and Nanometer Structures

1206

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1206

FIG. 7. Change of ellipsometric characteristics of the Cu surface in hydrogen plasma at 100 °C is shown. Region 1 corresponds to the hydrogen diffusion through native oxide and formation of hydrogenated layer. Region 2 corresponds to reduction of the native oxide.

of this layer is different than the used value for CuO, what is important is that a small increase 共or constancy兲 of ⌿ during the thin film formation on top of clean Cu always corresponds to transparent 共or almost transparent兲 layer. The decrease of angle ⌿ corresponds to the increase of the absorption coefficient, refractive index of the film, or both of them. It is reasonable to assume that the decrease of the atomic hydrogen concentration in the gas phase when plasma is switched off, leads to partial hydrogen desorption and to the formation of a less hydrogen rich and nontransparent Cu hydride-like layer. Therefore, the decrease of ⌿ is probably related to the increase of absorption coefficient of the film with optical characteristics more close to Cu. The small increase of ⌬ in the beginning of the cleaning process suggests that the hydrogen plasma even at room temperature can reduce part of the residue layer. This conclusion is in agreement with XPS measurements that demonstrated the decrease of the concentration of Cu2⫹ compounds in hydrogen plasma. 3. Temperature range 50 °C – 150 °C

The second region is typical for a temperature range from 50 °C to 150 °C 共Fig. 7兲. The angle ⌬ first decreases. The angle ⌿ also decreases but less than ⌬. After that, there is a region with a stable value of ⌬. Then, the ⌬ value increases and returns back to a point close to the initial value. The angle ⌿ monotonically decreases during the first two steps. After that, it starts to increase and almost reaches the initial value. An important observation is that below 150 °C it was not possible to reach ⌬⫽112°, which would correspond to

the clean copper surface. The hydrogen plasma was not able to completely reduce Cu oxide or leaves a modified Cu surface behind with different optical characteristics than the Cu film itself. 4. T Ì150 °C

When the wafer temperature is higher than 150 °C, the change of the ellipsometric characteristics is more straightforward 共Fig. 8兲. The angle ⌿ is always constant during the cleaning experiment, but ⌬ increases. The insignificant decrease of the ⌬ value during the annealing is probably related to the phenomena observed in the temperature range from 20 °C to 150 °C 共the sample annealing takes 10–15 min兲. The increase of ⌬ at constant ⌿ in the thin film region suggests that the residue film thickness is decreasing. Therefore, this effect is related to the removal of the residue film. It is important to note that the final value of ⌬ is the same at different temperatures and close to 112°. Because the optical characteristics of Cu do not depend on temperature in the range of our experimental conditions, the temperature range from 150 °C to 350 °C is optimal to remove the residue film from the Cu surface by a N2 /H2 plasma. The data presented in Fig. 8 show that some decrease of the residue thickness already starts after the stabilization of the sample temperature 共before the plasma ignition兲. The decrease of the residue thickness before the plasma ignition is almost not observable at 150 °C, but it becomes more pronounced at T⬎300 °C. This change is related to the thermodesorption of H2O and CO2, 4 which was observed in the TDS experiments and to the partial reduction of the Cu oxide

FIG. 8. Change of ellipsometric characteristics of Cu surface and the residue thickness in hydrogen plasma at 150 °C, 300 °C, and 400 °C 共surface cleaning conditions兲 are shown.

J. Vac. Sci. Technol. B, Vol. 19, No. 4, JulÕAug 2001

1207

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

FIG. 9. Change of ellipsometric characteristics of ECD Cu during the cleaning by hydrogen plasma is shown.

by molecular hydrogen or/and hydrogen segregated from the bulk Cu. The change is even more pronounced for ECD Cu, especially if the wafer was stored in clean room ambient 共Fig. 9兲. This effect, however, is not reproducible and strongly depends on the duration of air storage and ECD conditions. Nevertheless, it suggests that the segregating hydrogen can partially reduce copper oxide. The much faster decrease of the residue thickness upon ignition of the N2 /H2 plasma shows that the Cu oxide reduction by atomic hydrogen is more efficient than by thermal annealing and segregating hydrogen. The chemical reactions of the H2O and CO2 thermodesorption and CuO reduction in the molecular hydrogen ambient strongly depend on temperature, while the CuO reduction by atomic hydrogen is almost temperature independent in the range of 150 °C– 400 °C. In Fig. 8, the time elapsed between two measurements was 1.8 s. In the aforementioned temperature range, the residue layer is removed within 2–4 s. The surface residues formed upon exposure to oxygen and fluorine based plasmas are also efficiently removed by a hydrogen plasma 共Fig. 10兲. The thickness of the residue layer formed during a short 共⬇10 s兲 exposure in O2 /N2 and C2F6 /Ar plasmas was two times higher than the thickness of the native oxide. However, the residue thickness depends on exposure time.4 The layers after these plasmas mainly contain CuO and CFx polymer, respectively. Small amounts of Cu2O and CuFx were found near the Cu surface. The rate of the surface cleaning is mainly comparable with the Cu surface exposed to air. However, the final step of the cleaning takes longer. The reason is probably the penetration of the residue to the surface region of the Cu film during reactive ion etching. An increase of the residue thickness after the complete cleaning is typical for temperatures higher than 350 °C. This phenomenon will be discussed in the next paragraph. 5. T Ì350 °C

An additional feature is related to a process window for the Cu surface cleaning at high temperatures. At T JVST B - Microelectronics and Nanometer Structures

1207

FIG. 10. Cleaning and hydrogenation of the Cu surface exposed in air, oxygen, and fluorine plasmas are shown. T⫽400 °C.

⬎350 °C, the formation of a new surface layer starts almost immediately after the removal of the surface residue 共Fig. 10兲. It is a reasonable assumption that the clean Cu surface is chemically so active that it forms byproducts by interaction of Cu with residual gases or hydrogen. At T⬍300 °C, the clean Cu surface is chemically much more stable and no growth of a postcleaned residue film was observed during 30 min exposure in a N2 /H2 atmosphere or in a N2 /H2 plasma. Additional information, which is necessary to understand the observed phenomena, was obtained by TOF SIMS analysis. Four Cu samples exposed in a N2 /H2 plasma at different temperatures were depth profiled by TOF SIMS on an IONTOF-IV instrument. The dual beam system was used with a dual Ar⫹ ion beam. The analysis ion source 共11 keV and 1 pA兲 was rastered over a 30⫻30 ␮ m2 area while the sputter source 共1 keV and 16 nA兲 was rastered over 300 ⫻300 ␮ m2. Figure 11 presents the profiles obtained on the Cu layers heated at 50 °C, 100 °C, and 400 °C for a short time and 400 °C for a long time. The length of the profile was adapted such that stable signals were observed at the end of the profile, indicating a homogeneous layer composition. The first significant differences between the different treatments concern the thickness of the modified layer as determined by the sputtering time for the Cu intensities to reach a stable value: while at 50 °C and 100 °C, ⬃200 s are enough to go through the modified layer, a short annealing at 400 °C reduces it to about 50 s. When a prolonged 400 °C treatment is performed, very high Cu intensities are observed for more than 1500 s. This high intensity of the Cu⫹ ions is linked to the presence of higher oxygen content of the analyzed layer, as observed from the O⫹ ion intensity. These results suggest that minimum surface modifications occur at a short exposure when the temperature is high enough for the surface cleaning. Long exposure of the Cu surface in hydrogen plasma leads to deep modification of the Cu film. These conclusions are in a good agreement with ellipsometric data. The hydrogen concentration has a different profile inside the Cu film. The Cu films, exposed to hydrogen plasma at

1208

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1208

FIG. 11. Dual beam TOF SIMS depth profile of the Cu⫹, H⫹, O⫹, and C⫹ ion clusters from Cu layers treated at different temperatures is shown.

50 °C and 100 °C, show a similar distribution and concentration inside the film. The hydrogen distribution is different in the Cu film exposed to N2 /H2 plasma in the ‘‘surface cleaning condition’’ (T⬎150 °C). The signal intensity drops quickly during the ion etching, suggesting that this film contained a minimum amount of hydrogen. Therefore, after the optimal cleaning time, only the near surface region contains hydrogen. Higher intensities are observed for the long 400 °C treatment. These higher intensities are most likely due to an increase of the hydrogen concentration in the layer. The presence of carbon in the modified layer is also strongly dependent on the heat treatment. Even between 50 °C and 100 °C, a significant reduction of the ‘‘contaminated’’ layer is observed. The long 400 °C heat treatment induces a presence of C much deeper in the layer. 6. H2 Õ He preclean prior to M2 barrier deposition

Effect of temperature. Prior to barrier deposition a degas process removes the loosely bonded species, but the metal 1 copper surface has to be cleaned from copper oxide as well. One commonly adopted way is to expose the wafer surface to Ar⫹ bombardment 共ARPR兲. Another attractive possibility is to expose the wafer surface directly after degas to a hydrogen plasma 共RPC兲. Copper oxide can then be reduced as shown in the previous subsections 1–5. Note also that the scaling down of ULSI interconnects requires the introduction of low-k materials as dielectric. Their compatibility with cleaning strategies has to be investigated as well, because on recess sidewalls they are directly exposed. For electrical evaluation, a dual damascene architecture was used. In Fig. 12, the single via resistance is given for 0.25–0.4 ␮m vias illustrating that hydrogen plasma can be J. Vac. Sci. Technol. B, Vol. 19, No. 4, JulÕAug 2001

applied to copper surface cleaning. When RPC is applied, the reproducibility is over 85% for the 0.4 ␮m vias, while for the 0.3 and 0.25 ␮m nodes, 80% and 70% is found, respectively. The wafer that has been cooled prior to the application of RPC gives a wide distribution and the resistance values, in general, are higher than for RPC. The generic cause is most likely that on the cold copper surface, hydrogen plasma is not efficient in removing copper-oxide completely. The oxidized/hydrogenated copper layer on top of metal 1 is incorporated into the interconnect, which leads to a higher via resistance. The wide distribution as compared to RPC could be induced by the temperature inhomogenities introduced with the degas⫹cool process. Limitations of hydrogen plasma. As mentioned, organic low-k dielectrics are attacked by hydrogen plasma. In Fig. 13 the resistance value per via is given for via-chain structures. RPC is compared with COLDRPC. Since a large number of fallouts are observed for RPC, ARPR is included for reference purposes. Indeed, the best result is obtained in the case of the Ar-precleaned wafer. The reproducibility is above 90% as long as the number of contacts is below half a million. For one million vias, still a yield of about 60% is observed. The wafer, which was cooled prior to hydrogen plasma exposure, gives a higher resistance than the Arprecleaned one, because the hydrogen plasma is not efficient in cleaning the copper surface at low temperatures. The log– normal distribution of the via resistance is less sharp than for ARPR, which can be attributed to temperature inhomogenities induced during the degas⫹cool process. The reason for the high number of fallouts with RPC was revealed by a FIB voltage contrast technique in which failure areas are identi-

1209

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

1209

FIG. 12. Resistance of Kelvin contacts for the 0.25–0.4 ␮m vias is shown.

fied 共Fig. 14兲. The vias are damaged, revealing that when RPC is applied at high temperature directly after PVD degas then Flare™ is attacked on the recess sidewalls and, at some vias, discontinuities are incorporated into the via-chain structure. Since a single discontinuity is sufficient to produce a

complete fall out of the whole structure, none of the via chains give resistance values below 1 ohm when RPC is applied. The last observation indicates that the compatibility of hydrogen plasma with organic low-k dielectrics has to be carefully studied.

FIG. 13. Resistance per via in 0.3 and 0.25 ␮m via chain structures is shown. The number of vias in the chain is indicated on the top of the log–normal plots. ARPR shows a superior to RPC or COLDRPC because during RPC the wafer temperature is not controlled.

JVST B - Microelectronics and Nanometer Structures

1210

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

FIG. 14. Failing 0.25 ␮m vias in the case of the wafer that was exposed to a hydrogen plasma 共RPC兲 prior to barrier deposition are shown. Arrows indicate the observed defects. The via bottom is irregular because the polymer can be attacked by the hydrogen plasma which can lead to material accumulation on the recess bottom.

IV. DISCUSSION The following phenomenological model is proposed 共Fig. 15兲. Hydrogen plasma can partially reduce Cu2⫹ to Cu2O even at room temperature 共intensity drop of Cu2⫹ shoulder in XP spectra in Fig. 4兲. However, at room temperature, Cu2O is not reduced to Cu. Complete reduction of Cu oxide by a N2 /H2 plasma requires an activation energy that is higher than the activation energy of hydrogen diffusion through oxide. The hydrogen atoms easily diffuse through oxide to the Cu film and are chemisorbed forming a Cu hydride-like layer with different optical characteristics than Cu itself. This is a

FIG. 15. Phenomenological model of the Cu surface cleaning by hydrogen plasma at different temperatures is shown. J. Vac. Sci. Technol. B, Vol. 19, No. 4, JulÕAug 2001

1210

reason why ellipsometry shows the increase of the film thickness. The formation of various ‘‘hydrides’’ in the condition ‘‘plasma on’’ and ‘‘plasma off’’ suggests that a metastable Cu hydride forms when hydrogen atoms are present in the gas phase. The decrease of the atomic hydrogen concentration in the gas phase leads to partial hydrogen desorption and to the formation of a less hydrogen rich and nontransparent Cu hydride-like layer. TOF SIMS analysis shows that the modified Cu layer contains more oxygen and carbon than the bulk Cu. However, a change in the optical characteristics during the switching of hydrogen plasma off is definitely related to adsorbed hydrogen because it is difficult to expect reversible adsorption of oxygen and carbon at these temperatures. At T⬇100 °C, the rates of Cu2O reduction and hydrogen diffusion becomes comparable. The film thickness increase in the beginning of Cu interaction with hydrogen plasma is related to a process, which is similar to what occurs at room temperature. After an incubation period, the oxide reduction becomes dominant and the film thickness decreases. As the Cu hydride has already been formed, the optical characteristics of the final surface are different from those of the clean Cu surface. At T⬎150 °C, the rate of the Cu oxide reduction is higher than the hydrogen diffusion rate. A short exposure of the Cu surface in N2 /H2 plasma provides an efficient cleaning and there is no significant hydrogen diffusion into the Cu layer. This clean Cu surface is chemically very active and additional problems related to a deep penetration of hydrogen, residual oxygen, and carbon into Cu are observed at high temperatures (T⬎350 °C). Thus, overexposure of the Cu surface to the hydrogen plasma should to be avoided. Hence, the temperature is an important factor for efficient cleaning of the Cu surface. An additional important temperature effect is related to water and CO2 desorption, which are adsorbed during the storage of the Cu film in air. Remember that water is also a byproduct of the Cu2O reduction. Complete cleaning of the Cu surface requires a temperature high enough for the desorption of chemisorbed water. Thermal annealing without hydrogen plasma can already provide a partial reduction of Cu oxide, which is due to the thermodesorption of CO2 and H2O. The most likely mechanism is a disproportionation reaction of the Cu oxide.1 In addition, partial reduction of CuO can occur due to segregated hydrogen as was suggested in Ref. 12. This process can be especially crucial for the ECD Cu that contains more dissolved hydrogen.16 However, it was found that this process is not a reliable way for the Cu surface cleaning and strongly depends on the time of storage of the Cu film in air. Therefore, the efficient cleaning of the Cu surface can for example be realized by hydrogen plasma. Under process conditions, when a hydrogen plasma is used for copper surface cleaning, temperature control during the treatment is essential both from the point of view of copper cleaning efficiency and also from the point of view of compatibility with low-k dielectrics. For example, in copper PVD metallization with organic low-k polymer and without a

1211

Baklanov et al.: Characterization of Cu surface cleaning by hydrogen

control of the wafer temperature if exposed to hydrogen plasma, the classical Ar preclean can be superior to the reactive preclean. The reason is that the organic low-k polymers are severely attacked by hydrogen plasma. However, a general problem of Ar preclean is related to partial sputtering of Cu and Cu compounds. This Cu residue will be buried under the diffusion barrier and may diffuse into the low-k dielectric. Therefore, hydrogen plasma has to have an advantage when the metallization stack contains low-k dielectric stable to hydrogen plasma. It has been shown that silicaorganic low-k dielectrics 共SiOC兲 are stable in hydrogen plasma. The results of a comparative study of the stability of different types of low-k dielectrics in hydrogen plasma and electrical evaluation of metallization structures will be reported in our future publications. V. CONCLUSIONS 共1兲 Storage of as-deposited Cu gives rise to the formation of Cu2O, CuO, Cu共OH兲2, and CuCO3. Cu2O forms very fast and it is a dominant residue observed on the as-deposited Cu film. Storage in air during several days leads to further oxidation of the Cu surface, because Cu2O transforms to CuO. Cu共OH兲2 and CuCO3 form during the chemisorption of H2O and CO2 from air by CuO and Cu2O. CFx polymer and Cu fluorides are additional compounds formed on the Cu surface during etching in a fluorine plasma. 共2兲 The Cu surface can be cleaned by combination of a thermal treatment and a hydrogen plasma. The first important step is the decomposition of Cu hydroxide and Cu carbonate resulting in the desorption of H2O and CO2: CuCO3→2CuO⫹CO2,

共2兲

Cu共OH兲2→CuO⫹H2Oads.

共3兲

After the decomposition of Cu共OH兲2 and CuCO3 with desorption of water and CO2, the Cu surface contains mainly Cu2O and CuO. The conversion of CuO to Cu2O without hydrogen plasma is related to the disproportionation reaction of CuO with Cu. A complete reduction of the Cu oxides is provided by atomic hydrogen: CuO共Cu2O兲⫹2H→Cu⫹H2Oads.

共4兲

Hydrogen atoms generated in hydrogen plasma or by segregation from the bulk Cu promote this reaction. The water formed in the reaction remains on the surface is chemisorbed and physisorbed states. Complete removal of this water from the Cu surface happens only if the temperature is higher than 150 °C–200 °C. 共3兲 As a byproduct of the thermal treatment recrystallization of the Cu layer can occur. 共4兲 Hydrogen plasma treatment can modify the Cu layer. This modification is related to hydrogen diffusion and chemisorption on Cu atoms inside the Cu layer. A Cu hydride-like layer is formed that has a higher resistivity than

JVST B - Microelectronics and Nanometer Structures

1211

metallic Cu. The Cu hydride formation is dominant at temperatures below 120 °C, because the temperature is not high enough for the reduction of the Cu oxide. At temperatures higher than 350 °C, the Cu modification occurs due to the interaction of the highly active clean Cu surface with atomic hydrogen and residual oxygen and carbon. The optimal temperature range for Cu surface cleaning is between 200 °C and 350 °C. In this temperature range, the clean Cu surface is still quite stable with respect to atomic hydrogen and gas phase residues and can be kept long enough in a vacuum or hydrogen ambient without significant surface modification. 共5兲 The hydrogen plasma is also efficient to remove surface residues formed in oxygen and fluorine (CFx ) based plasmas. Therefore, hydrogen plasma can also be used for postdry etch cleaning purposes. 共6兲 In situ cleaning of copper prior to barrier deposition should provide a good adhesion of the diffusion barrier and a good interface quality. Under process conditions, if hydrogen plasma is applied to copper surface cleaning, a control of the temperature during the treatment is essential from the perspectives of copper cleaning efficiency and compatibility with low-k dielectrics. ACKNOWLEDGMENTS The authors thank Rene George and Leroy Luo from Mattson Technology for their help with plasma sources and for fruitful discussions. 1

L. Vanasupa, Y.-C. Joo, P. R. Besser, and S. Pramanick, J. Appl. Phys. 85, 2583 共1999兲. K. S. Low, W. Pamler, M. Schwerd, H. J. Barth, and Koerner, Advanced Metallization Conference in 1999, edited by M. E. Gross 共2000兲, pp. 319–323. 3 E. Apen, B. R. Rogers, and J. Sellers, J. Vac. Sci. Technol. A 16, 1227 共1998兲. 4 M. R. Baklanov, T. Conard, F. Lanckmans, S. Vanhaelemeersch, D. Holmes, and K. Maex, Advanced Metallization Conference in 1999, edited by M. E. Gross 共2000兲, pp. 615–619. 5 G. P. Beyer, M. R. Baklanov, T. Conard, and K. Maex, Mater. Res. Soc. Symp. Proc. 612, D9.17 共2001兲. 6 J. Li, J. W. Mayer, and E. G. Colgan, J. Appl. Phys. 70, 2820 共1991兲. 7 A. S. W. Wong, R. G. Krishnan, and G. Sarkar, J. Vac. Sci. Technol. A 18, 1619 共2000兲. 8 A. Sekiguchi, A. Kobayashi, T. Koide, O. Okada, and N. Hosokawa, Jpn. J. Appl. Phys., Part 1 39, 6478 共2000兲. 9 K. Ueno, V. Donnelly, and Y. Tsuchiya, J. Vac. Sci. Technol. B 16, 2986 共1998兲. 10 M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin, and F. N. Dultsev, J. Vac. Sci. Technol. B 18, 1385 共2000兲. 11 M. R. Baklanov, M. Muroyama, M. Judelewicz, E. Kondoh, H. Li, J. Waeterloos, S. Vanhaelemeersch, and K. Maex, J. Vac. Sci. Technol. B 17, 2136 共1999兲. 12 F. Watanabe, M. Suemitsu, and N. Miyamato, J. Vac. Sci. Technol. A 13, 147 共1995兲. 13 R. M. A. Azzam and N. M. Bashara, Ellipsometry and Polarized Light 共North–Holland, Amsterdam, 1987兲. 14 Handbook of Optical Constants of Solid, edited by E. Palik 共Academic, Orlando, 1985兲. 15 C. Liu, J. Erdmann, J. Maj, and A. Macrander, J. Vac. Sci. Technol. B 17, 2741 共1999兲. 16 S. Brongersma 共private communication兲. 2

Characterization of Cu surface cleaning by hydrogen ...

face cleaning to be able to compare these data with the etch/ modification rate of ... organic low-k polymer film from Allied Signal was taken as a dielectric with ...

605KB Sizes 4 Downloads 192 Views

Recommend Documents

Characterization of Hydrodynamic Surface Interactions ...
Sep 24, 2009 - Electrical Engineering Department, Yale University, Post Office Box ... their log phase, suspended in Luria-Bertani broth at room ... TEM data.

In situ cleaning and characterization of oxygen
May 15, 2004 - pressures,1 and a direct band-gap energy at room temperature of 3.4 eV.2 .... Technologies, Incorporated,17 and chemomechanically pol- ished on both ...... necessitated the development of an alternative approach. Smooth ...

In situ cleaning and characterization of oxygen
May 15, 2004 - to a larger Inconel® holder located 40 cm below the center of a rf coil in a ... cies from the known value of 84.0 eV.21 The data were most accurately ..... UPS spectra of ZnO(0001¯) surfaces acquired from i as-loaded samples ...

Characterization of long-range surface plasmon ...
Department of Electrical and Computer Engineering, Wayne State University, Detroit, ... (Received 27 August 2007; accepted 24 October 2007; published online 28 December 2007) ... LRSPP nanostructures, which are a relatively new class.

Cleaning processes of encrusted marbles by Nd:YAG ...
Q-switched devices appear to be the best choice.8. In art restoration ... personal computer for data analysis. ... of the ablated material cloud when irradiating with.

Cleaning processes of encrusted marbles by Nd:YAG ...
Probably owing to the large gap between the meth- odologies of ... 0.1–1 ms and energy as much as tens of joules. In both cases ... tions, the degree of roughness can determine the .... Experimental data and linear fittings of the expansion.

hydrogen-manuale.pdf
Download. 1.2. Compilazione. 1.3. Configurazione audio driver. 1.3.1. OSS audio driver. 1.3.2. Jack audio driver. 1.3.3. ALSA audio driver. 2. Interfaccia grafica.

Characterization of double gate MOSFETs fabricated by ...
Jun 25, 2004 - The new device structure utilizes the bulk ... E-mail address: [email protected] (X. Lin). ... devices starting from a normal p-type bulk wafer for N-.

Kinetics of Hydrogen Peroxide Decomposition.pdf
Kinetics of Hydrogen Peroxide Decomposition.pdf. Kinetics of Hydrogen Peroxide Decomposition.pdf. Open. Extract. Open with. Sign In. Main menu. Displaying ...

Characterization of human CRB gene product by the ...
html). Subcellular localization of human CRB1 protein was indicated by PSORT. ... NCBI (http://www.ncbi.nlm.nih.gov/gorf/gorf.html) .... Wu C, Macleod I, Su AI:.

Removal of Surface Adhered Particles by Surfactants ...
total interaction energy to determine a critical hydrodynamic force above which ..... would never be removed by only mechanical action of clean- ing in washing ...

Hydrogen Enhanced Combustion
Page 2 ...... [12]Emissions reductions using hydrogen from plasmatron fuel converters, L. Bromberg, D.R. Cohn, K. Hadidi, J.B. Heywood and. A. Rabinovich, MIT.

Complete removal of paint from metal surface by ...
... of Physical and Chemical Research (RIKEN), 2-1, Hirosawa, Wakoshi, Saitama 351-01, Japan. (Fax: #81!48!462!4682, E-mail: tsunemi@postman riken.go.jp).

Cu KKKC
How many n's are there in an atom of P-33?____ How many p's in an Fe-583+ ion? ____. 3. How many total particles (p, n & e's) are in an O-16 atom? ____ In a F-191- ion? ____. 4. All chromium particles must have the same number of (p, n or e?) ____. 5

A continuous kilohertz Cu K source produced by ...
above application. For example, they ... sion due to less heating of bulk high density plasma.4 How- ever, they ... aElectronic mail: [email protected]. APPLIED ... it is quite convenient for clinical applications of the source. The object ...

Upright extraction cleaning machine
Jun 27, 2003 - U.S. Patent. Sep. 26, 2006. Sheet 8 0f 17. US RE39,304 E. 284. 294. 286. 298. 290. 292. 282. 297. 291. 283. 222 218 220 202. 296. 295. 288 2§9. 282. 294. K286. 298. K'. J 290. 280. 282. \. \. 196. 297. 291. 283. 292 295. 288. 218 220

utilization of hydrogen sulphide for the synthesis of ...
Preparation of aqueous ammonium sulphide. About 10% ammonia solution was prepared by adding suitable quantity of liquor ammonia in distilled water. H2S gas was bubbled through the ammonia solution kept in a 2.5x10-. 4 m3 standard gas-bubbler. Since,

Learning about protein hydrogen bonding by ... - Wiley Online Library
ABSTRACT. Defining the strength and geome- try of hydrogen bonds in protein structures has been a challenging task since early days of struc- tural biology. In this article, we apply a novel statis- tical machine learning technique, known as con- tra

TAKING CARE OF YOUR PIERCING CLEANING SOLUTIONS:
SMOKING, TRY NOT TO CLEAN TOO OFTEN TO AVOID IRRITATION AND THE DRYING OUT OF PIERCING. NIPPLE: TIGHT SHIRTS, SPORT BRAS, ETC.

Cleaning Materials.pdf
Thottathil B.Radhakrishnan, J. 1.We have heard the learned Senior counsel for the appellant. We. have also heard the learned Senior Government Pleader for ...

Cleaning Cloth.pdf
There was a problem previewing this document. Retrying... Download. Connect more apps... Try one of the apps below to open or edit this item. Cleaning Cloth.

LRSC26925xx LG 25.9 cu. ft. Side By Side Refrigerator Service ...
Disconnect power cord. from wall .... Side By Side Refrigerator Service Manual.pdf. LRSC26925xx LG 25.9 cu. ft. Side By Side Refrigerator Service Manual.pdf.Missing: